Skip to content ↓

Middle-school students meet a beam of electrons, and excitement results

EMERGE program ignites interest in science through hands-on electron microscopy.
Press Inquiries

Press Contact:

Jason Sparapani
Phone: (617) 253-1581
MIT Department of Materials Science and Engineering
Close
Rebecca Li helps three middle schoolers, two standing and one seated at a computer, controlling a scanning electron microscope.
Caption:
MIT postdoc Rebecca Li (right, standing) instructs MITES Saturdays students looking at everyday materials such as butterfly wings, computer chips, hair, and pollen under a scanning electron microscope. The students investigated the samples by adjusting magnification, focus, and stage location.
Credits:
Photo courtesy of the EMERGE program.
A middle schooler, pictured from behind, takes a photo on her phone of a fellow program participant using a scanning electron microscope.
Caption:
A MITES Saturdays student in the EMERGE program captures a moment as a fellow participant looks at a material under a scanning electron microscope. The students were from three Massachusetts cities — Boston, Cambridge, and Lawrence.
Credits:
Photo courtesy of the EMERGE program.
An MIT grad student helps four middle-school students use a scanning electron microscope.
Caption:
Nick Sbalbi (standing at left), a grad student in MIT’s Department of Chemical Engineering, guides MITES students in the EMERGE program as they explore material samples using a scanning electron microscope.
Credits:
Photo courtesy of the EMERGE program.
An MIT grad student helps four middle schools explore material samples with an advanced microscope.
Caption:
Middle schoolers in the MITES Saturdays science program at MIT examine images of material samples through a scanning electron microscope at MIT.nano, with the help of Rebecca Li (third from left), a postdoc in the Department of Materials Science and Engineering. The students participated in a pilot education program called EMERGE aimed at engaging students in hands-on scientific exploration.
Credits:
Photo courtesy of the EMERGE program.
Eight middle school students sit at tables in a classroom at MIT. One is smiling at the camera.
Caption:
MITES Saturdays middle schoolers in the EMERGE program examine samples in a classroom at MIT.nano. Each student explored mystery samples of everyday materials.
Credits:
Photo courtesy of the EMERGE program.
Nick Sbalbi watches four middle schoolers clustered around the computer controls of a scanning electron microscope.
Caption:
MIT grad student Nick Sbalbi (standing at left) watches as MITES Saturdays students in the EMERGE program scrutinize a sample under a scanning electron microscope.
Credits:
Photo courtesy of the EMERGE program.
10 middle school students and one adult smile for a photo in a laboratory at MIT.
Caption:
MITES Saturdays middle schoolers in the EMERGE program pose for a photo at MIT.nano. The students left the EMERGE event with copies of the electron microscope images they generated.
Credits:
Photo courtesy of the EMERGE program.

Want to get middle-school kids excited about science? Let them do their own experiments on MIT.nano’s state-of-the-art microscopes — with guidelines and adult supervision, of course. That was the brainchild of Carl Thrasher and Tao Cai, MIT graduate students who spearheaded the Electron Microscopy Elevating Representation and Growth in Education (EMERGE) program.

Held in November, EMERGE invited 18 eighth-grade students to the pilot event at MIT.nano, an interdisciplinary facility for nanoscale research, to get hands-on experience in microscopy and materials science.

The highlight of the two-hour workshop: Each student explored mystery samples of everyday materials using one of two scanning electron microscopes (SEMs), which scan material samples using a beam of electrons to form an image. Though highly sophisticated, the instruments generated readily understandable data — images of intricate structures in a butterfly wing or a strand of hair, for example.

A dragonfly leg, seen magnified many times under a scanning electron microscope, looks like two giant claws
A dragonfly leg, seen through a scanning electron microscope. The image was generated by Tyler Flynn, one of the MITES Saturdays middle school students, in a November pilot event called EMERGE (Electron Microscopy Elevating Representation and Growth in Education) at MIT.nano.
Image courtesy of the EMERGE program.

The students had an immediate, tangible sense of success, says Thrasher, from MIT’s Department of Materials Science and Engineering (DMSE). He led the program along with Cai, also from DMSE, and Collette Gordon, a grad student in the Department of Chemistry.

“This experience helped build a sense of agency and autonomy around this area of science, nurturing budding self-confidence among the students,” Thrasher says. “We didn’t give the students instructions, just empowered them to solve problems. When you don’t tell them the solution, you get really surprised with what they come up with.”

Unlocking interest in the infinitesimal

The students were part of a multi-year science and engineering exploration program called MITES Saturdays, run by MIT Introduction to Technology, Engineering, and Science, or MITES. A team of volunteers was on hand to help students follow the guidance set out by Thrasher, ensuring the careful handling of the SEMs — worth roughly $500,000 each.

MITES Saturdays program administrator Lynsey Ford was thrilled to observe the students’ autonomous exploration and enthusiasm.

“Our students got to meet real scientists who listened to them, cared about the questions they were asking, and welcomed them into a world of science,” Ford says. “A supportive learning environment can be just as powerful for science discovery as a half-million-dollar microscope.”

The pilot workshop was the first step for Thrasher and his team in their goal to build EMERGE into a program with broad impact, engaging middle-to-high school students from a variety of communities.

The partnership with MITES Saturdays is crucial for this endeavor, says Thrasher, providing a platform to reach a wider audience. “Seeing students from diverse backgrounds participating in EMERGE reinforces the profound difference science education can have.”

MITES Saturdays students are high-achieving Massachusetts seventh through 12th graders from Boston, MIT’s hometown of Cambridge, and nearby Lawrence.

“The majority of students who participate in our programs would be the first person in their family to go to college. A lot of them are from families balancing some sort of financial hardship, and from populations that are historically underrepresented in STEM,” Ford says.

Experienced SEM users set up the instruments and prepared test samples so students could take turns exploring specimens such as burrs, butterfly wings, computer chips, hair, and pollen by operating the microscope to adjust magnification, focus, and stage location.

Students left the EMERGE event with copies of the electron microscope images they generated. Thrasher hopes they will use these materials in follow-up projects, ideally integrating them into existing school curricula so students can share their experiences.

EMERGE co-director Cai says students were excited with their experimentation, both in being able to access such high-end equipment and in seeing what materials like Velcro look like under an SEM (spoiler alert: it’s spaghetti).

Velcro, seen through scanning electron microscope, looks like an assortment of strings
A Velcro material sample, magnified using a scanning electron microscope by Ishika Roy, a MITES student in the EMERGE program at MIT.nano, in November.
Image courtesy of the EMERGE program.

“We definitely saw a spark,” Cai says. “The subject matter was complex, but the students always wanted to know more.” And the after-program feedback was positive, with most saying the experience was fun and challenging. The volunteers noted how engaged the students were with the SEMs and subject matter. One volunteer overheard students say, “I felt like a real scientist!”

Inspiring tomorrow’s scientists

EMERGE is based on the Scanning Electron Microscopy Educators program, a long-running STEM outreach program started in 1991 by the Air Force Research Laboratory and adopted by Michigan State University. As an Air Force captain stationed at Wright-Patterson Air Force Base in Ohio, Thrasher participated in the program as a volunteer SEM expert.

“I thought it was an incredible opportunity for young students and wanted to bring it here to MIT,” he says.

The pilot was made possible thanks to support from the MITES Saturdays team and the Graduate Materials Council (GMC), the DMSE graduate student organization. Cai and DMSE grad student Jessica Dong, who are both GMC outreach chairs, helped fund, organize, and coordinate the event.

The MITES Saturdays students included reflections on their experience with the SEMs in their final presentations at the MITES Fall Symposium in November.

“My favorite part of the semester was using the SEM as it introduced me to microscopy at the level of electrons,” said one student.

“Our students had an incredible time with the EMERGE team. We’re excited about the possibility of future partnerships with MIT.nano and other departments at MIT, giving our scholars exposure to the breadth of opportunities as future scientists,” says Eboney Hearn, MITES executive director.

With the success of the pilot, the EMERGE team is looking to offer more programs to the MITES students in the spring. Anna Osherov is excited to give students more access to the cumulative staff knowledge and cutting-edge equipment at MIT.nano, which opened in 2018. Osherov is associate director for Characterization.nano, a shared experimental facility for advanced imaging and analysis.

“Our mission is to support mature researchers — and to help inspire the future PhDs and professors who will come to MIT to learn, research, and innovate,” Osherov says. “Designing and offering such programs, aimed at fostering natural curiosity and creativity of young minds, has a tremendous long-term benefit to our society. We can raise tomorrow’s generation in a better way.”

For her part, Ford is still coasting on the students’ excitement. “They come into the program so curious and hungry for knowledge. They remind me every day how amazing the world is.”

Related Links

Related Topics

Related Articles

More MIT News